A clock multiplexer (clock MUX) selects one of the several inputs and propagates that signal forward. Renesas offers several types of clock multiplexers that not only include a multiplexing function, but also clock divider and fanout buffer functions integrated on the same device. This not only reduces the total part count in a clock tree but can also help improve the performance in many cases.

Product Selector: Clock Multiplexers (MUX)

Explore our catalog of products through our parametric product selector tool. Compare specifications across various parameters to find the right part for your design.

Product Selector

Documentation

Type Title Date
Overview PDF 217 KB
1 item